Резванов Аскар Анварович Влияние процесса плазмохимического травления на молекулярную структуру и интегральные свойства диэлектриков с ультранизкой диэлектрической проницаемостью



  • Название:
  • Резванов Аскар Анварович Влияние процесса плазмохимического травления на молекулярную структуру и интегральные свойства диэлектриков с ультранизкой диэлектрической проницаемостью
  • Альтернативное название:
  • Резванов Аскар Анварович Вплив процесу плазмохімічного травлення на молекулярну структуру та інтегральні властивості діелектриків із ультранизькою діелектричною проникністю
  • Кол-во страниц:
  • 191
  • ВУЗ:
  • ИФПМ СО РАН
  • Год защиты:
  • 2019
  • Краткое описание:
  • Резванов Аскар Анварович Влияние процесса плазмохимического травления на молекулярную структуру и интегральные свойства диэлектриков с ультранизкой диэлектрической проницаемостью
    ОГЛАВЛЕНИЕ ДИССЕРТАЦИИ
    кандидат наук Резванов Аскар Анварович
    Введение

    Актуальность работы

    Степень разработанности

    Цели и задачи

    Научная новизна

    Теоретическая и практическая значимость

    Методология и методы исследования

    Основные положения, выносимые на защиту

    Достоверность

    Личный вклад

    Апробация работы

    Публикации

    Структура и объем работы

    Краткое содержание работы

    Список использованных сокращений

    Глава 1. Пористые диэлектрики с ультранизкой диэлектрической проницаемостью

    1.1. Диэлектрическая проницаемость

    1.2. Компоненты поляризуемости

    1.2.1. Электронная поляризуемость

    1.2.2. Ионная поляризуемость

    1.2.3. Ориентационная поляризуемость

    1.3. Введение пористости

    1.4. Типы low-k диэлектриков и методы их формирования

    1.4.1. Газофазное осаждение, стимулированное плазмой (PECVD)

    1.4.2. Диэлектрики, полученные золь-гель методом

    1.5. Выводы к Главе

    Глава 2. Экспериментальные методы используемы в данной работе

    2.1. ИК Фурье спектроскопия

    2.2. Спектральная эллипсометрия

    2.3. Рентгеновская фотоэлектронная спектроскопия (XPS)

    2.4. Времяпролетная масс-спектрометрия вторичных ионов (ToF-SIMS)

    2.5. Эллипсометрическая порозиметрия

    2.6. Краевой угол смачивания

    2.7. Измерение диэлектрической проницаемости

    2.8. Механические характеристики

    2.9. Установки плазмохимического травления

    2.9.1. OIPT PlasmaLab 100 Dual

    2.9.2. Lam Research 2300 Versys Kiyo

    2.9.3. TEL Tactras Vigus

    2.10. Выводы к Главе

    Глава 3. Повреждение low-к диэлектриков с разным содержанием метильных групп в процессе плазмохимического травления

    3.1. Плазменное травление low-k диэлектриков

    3.2. Свойства исследуемых пористых low-k диэлектриков

    3.3. Деградация low-k от воздействия плазмы

    3.4. Выводы к Главе

    Глава 4. Повреждение low-к диэлектриков в процессе формирования металлических барьерных слоев и металлизации

    4.1. Введение

    4.2. Детали эксперимента

    4.2.1. Материалы

    4.2.2. Функцианализация поверхности и жидкостная очистка

    4.2.3. Метрология

    4.2.4. План эксперимента

    4.3. Результаты и обсуждения

    4.3.1. Герметизация пор low-k диэлектрика SAM прекурсорами

    4.3.2. Селективность SAM прекурсоров к меди

    4.4. Выводы по Главе

    Глава 5. Модель динамики интегральной диэлектрической проницаемости пористого диэлектрика в процессе воздействия радикалов кислорода

    5.1. Постановка задачи

    5.2. Описание моделируемой системы и основных процессов

    5.3. Предварительные расчеты

    5.4. Физико-химические процессы на поверхности материала и поры

    5.5. Базовые положения модели

    5.6. Формулировка клеточно-автоматной модели

    5.7. Параметризация модели

    5.8. Результаты вычислительного эксперимента

    4

    5.9. Выводы по Главе

    Глава 6. Методы по защите пористых диэлектрических материалов от деградации в процессе травления

    6.1. Современные методы защиты пористых low-k диэлектриков от повреждения в плазме

    6.2. Криогенное травление low-k диэлектриков в плазмах CFsBr и CF4

    6.2.1. Плазма CFsBr

    6.2.2. Плазма CF4

    6.2.3. Механизмы реакций криогенного травления в плазмах CF3Br и CF4

    6.2.4. Выводы по пункту

    6.3. Пассивация стенок пор выбранными полимерами

    6.3.1. Селекция полимера

    6.3.2. Теоретические обоснование пассивации пор low-k диэлектрика

    6.3.3. Основные свойства low-k после пассивации PDM и его химическая стабильность

    6.3.4. Влияние пассивации пор PDM полимеров на деградацию диэлектрика при травлении

    6.3.5. Многослойная пассивация стенок пор low-k диэлектрика молекулами PDM

    6.3.6. Защита пористого low-k от радикалов фтора и фотонов вакуумного ультрафиолет путем многослойной пассивацией стенок пор полимером

    6.3.7. Удаление полимера со стенок пор путем УФ-термической обработки

    6.3.8. Влияние пассивации стенок пор полимером на значение диэлектрической постоянной после травления

    6.3.9. Выводы по пункту

    Заключение

    Благодарность

    Публикации автора по теме диссертации

    Список литературы

    Введение
  • Список литературы:
  • -
  • Стоимость доставки:
  • 230.00 руб


ПОИСК ДИССЕРТАЦИИ, АВТОРЕФЕРАТА ИЛИ СТАТЬИ


Доставка любой диссертации из России и Украины