Самоконфігуровні високопродуктивні комп'ютерні системи



  • Назва:
  • Самоконфігуровні високопродуктивні комп'ютерні системи
  • Альтернативное название:
  • Самоконфигуральные высокопроизводительные компьютерные системы
  • Кількість сторінок:
  • 329
  • ВНЗ:
  • Львівська політехніка
  • Рік захисту:
  • 2013
  • Короткий опис:
  • Міністерство освіти і науки України
    Національний університет “Львівська політехніка”

    На правах рукопису


    МЕЛЬНИК ВІКТОР АНАТОЛІЙОВИЧ

    УДК 004.272, 004.274

    Самоконфігуровні високопродуктивні комп'ютерні системи



    05.13.05 – комп'ютерні системи та компоненти


    Дисертація на здобуття наукового ступеня
    доктора технічних наук



    Науковий консультант –
    доктор технічних наук,
    професор Стадник Б.І.







    Львів-2013
    2







    ЗМІСТ

    Перелік умовних позначень 10
    Вступ 12
    Розділ 1. Аналіз принципів побудови, технологій проектування та
    напрямків розвитку високопродуктивних комп’ютерних систем 28
    1.1. Сучасні високопродуктивні комп’ютерні системи ............................................. 28
    1.2. Кластерні суперкомп’ютери .................................................................................. 30
    1.3. Персональні суперкомп’ютери .............................................................................. 33
    1.3.1. Передумови появи персональних суперкомп’ютерів ................................... 33
    1.3.2. Персональний суперкомп’ютер Tesla Personal Supercomputer фірми Nvidia
    ...................................................................................................................................... 34
    1.3.3. Персональний суперкомп’ютер СХ1 фірми Cray ......................................... 35
    1.3.4. Персональний суперкомп’ютер Octane III фірми SGI .................................. 36
    1.4. Підвищення продуктивності комп’ютерних систем за допомогою
    спеціалізованих процесорів .......................................................................................... 37
    1.5. Використання пам’яті з впорядкованим доступом у спеціалізованих
    процесорах ...................................................................................................................... 38
    1.6. Аналіз архітектури та тенденцій розвитку спеціалізованих апаратних
    прискорювачів обчислень ............................................................................................. 41
    1.6.1. Типи та переваги застосування спеціалізованих апаратних прискорювачів
    ...................................................................................................................................... 41
    1.6.2. Архітектура процесорів CELL та прискорювачі на їх основі ...................... 42
    1.6.3. Архітектура процесорів ClearSpeed та прискорювачі на їх основі ............. 45
    1.6.4. Архітектура процесорів GRAPE та прискорювачі на їх основі .................. 46
    1.6.5. Архітектура графічних процесорів та прискорювачі на їх основі .............. 48
    1.6.6. Тенденції розвитку та проблеми підвищення ефективності спеціалізованих
    апаратних прискорювачів .......................................................................................... 52
    1.7. Високопродуктивні реконфігуровні комп’ютерні системи ................................ 53
    1.7.1. Передумови створення реконфігуровних комп’ютерних систем ............... 53
    1.7.2. Перші реконфігуровні комп’ютерні системи ................................................ 55
    1.7.3. Причини використання ПЛІС для виконання високопродуктивних
    обчислень ..................................................................................................................... 57
    1.7.4. Технології та засоби проектування спеціалізованих процесорів для
    реконфігуровних комп’ютерних систем .................................................................. 60
    1.8. Тенденції розвитку високопродуктивних комп’ютерних систем ...................... 62
    3
    1.9. Проблемні питання підвищення ефективності високопродуктивних
    комп’ютерних систем .................................................................................................... 63
    1.10. Висновки до розділу 1 .......................................................................................... 66
    Розділ 2. Розроблення основ організації функціонування та
    дослідження шляхів удосконалення архітектури реконфігуровних
    комп’ютерних систем 69
    2.1. Визначення основних понять реконфігуровних комп’ютерних систем (РККС)
    .......................................................................................................................................... 69
    2.2. Базові структури РККС .......................................................................................... 71
    2.3. Розроблення способу опрацювання інформації в РККС .................................... 74
    2.4. Розроблення методики розрахунку тривалості опрацювання інформації в
    РККС................................................................................................................................ 76
    2.5. Дослідження та порівняльний аналіз типів архітектури РККС ......................... 78
    2.6. Дослідження засобів взаємодії компонентів РККС слабозв’язаної та
    тіснозв’язаної типів архітектури .................................................................................. 83
    2.6.1. Завдання дослідження засобів взаємодії компонентів РККС ...................... 83
    2.6.2. Організація функціонування РККС з слабозв’язаною реконфігуровною
    логікою ......................................................................................................................... 83
    2.6.3. Оцінювання характеристик реконфігуровних прискорювачів РККС з
    слабозв’язаною реконфігуровною логікою ............................................................. 84
    2.6.3.1. Оцінювання характеристик реконфігуровних прискорювачів з інтерфейсом
    РСІ ....................................................................................................................................... 84
    2.6.3.2. Оцінювання характеристик реконфігуровних прискорювачів з інтерфейсом
    РСІ-Х .................................................................................................................................. 86
    2.6.3.3. Оцінювання характеристик реконфігуровних прискорювачів з інтерфейсом
    РСІ-Express ......................................................................................................................... 88
    2.6.4. Організація функціонування РККС з тіснозв’язаною реконфігуровною
    логікою ......................................................................................................................... 91
    2.6.5. Оцінювання характеристик реконфігуровних прискорювачів РККС з
    тіснозв’язаною реконфігуровною логікою .............................................................. 95
    2.6.5.1. Оцінювання характеристик тісноінтегрованих в мережну структуру
    прискорювачів ................................................................................................................... 95
    2.6.5.2. Оцінювання характеристик тісноінтегрованих прискорювачів з прямим
    під’єднанням до мережного інтерфейсного кристалу ................................................... 98
    2.6.5.3. Оцінювання характеристик прискорювачів з прямим з’єднанням з
    пристроями пам’яті та гібридних прискорювачів ....................................................... 100
    2.7. Експериментальні дослідження характеристик РККС залежно від складності
    задачі ............................................................................................................................. 104
    2.8. Розроблення методики визначення доцільності застосування прискорювача108
    2.9. Порівняння спеціалізованих апаратних прискорювачів з реконфігуровними 110
    4
    2.10. Виокремлення проблем, що перешкоджають підвищенню ефективності
    РККС.............................................................................................................................. 112
    2.11. Дослідження шляхів підвищення ефективності та вдосконалення способу
    опрацювання інформації в РККС ............................................................................... 113
    2.12. Висновки до розділу 2 ........................................................................................ 116
    Розділ 3. Розроблення теоретичних основ побудови та організації
    функціонування самоконфігуровних комп’ютерних систем 120
    3.1. Концепція побудови самоконфігуровних комп’ютерних систем (СККС) та
    метод самоконфігурування ......................................................................................... 120
    3.2. Розроблення способу опрацювання інформації в СККС .................................. 121
    3.3. Розроблення структури СККС ............................................................................. 124
    3.4. Модифікація розробленого способу опрацювання інформації та структури
    СККС для випадку її багатопроцесорної реалізації ................................................. 125
    3.5. Розроблення методики розрахунку тривалості опрацювання інформації в
    СККС ............................................................................................................................. 128
    3.6. Дослідження характеристик тривалості процесів опрацювання інформації в
    СККС ............................................................................................................................. 130
    3.6.1. Етапи виконання програми в СККС ............................................................. 130
    3.6.2. Дослідження тривалості основних процесів на етапі завантаження
    програми до виконання в СККС ............................................................................. 131
    3.6.2.1. Складові етапу завантаження програми до виконання в СККС ................... 131
    3.6.2.2. Дослідження тривалості завантаження виконавчого файлу підпрограми
    універсального комп’ютера ........................................................................................... 131
    3.6.2.3. Дослідження тривалості завантаження файлів конфігурації ......................... 133
    3.6.2.3.1. Особливості організації взаємодії комп’ютера з реконфігуровним
    середовищем ................................................................................................................. 133
    3.6.2.3.2. Тривалість завантаження кодів конфігурації до ПЛІС ......................... 134
    3.6.2.3.3. Тривалість завантаження кодів конфігурації до пам’яті конфігурацій
    ....................................................................................................................................... 135
    3.6.2.3.4. Способи зменшення тривалості завантаження файлів конфігурації в
    СККС............................................................................................................................. 136
    3.6.2.4. Оцінювання тривалості етапу завантаження програми до виконання в СККС
    ........................................................................................................................................... 137
    3.6.3. Дослідження тривалості основних процесів на етапі виконання програми в
    СККС .......................................................................................................................... 139
    3.7. Оцінювання тривалості виконання програми в СККС і забезпечуваного нею
    прискорення .................................................................................................................. 143
    3.8. Особливості оцінювання характеристик тривалості процесів опрацювання
    інформації в СККС у випадку її багатопроцесорної реалізації ............................... 143
    5
    3.8.1. Розроблення методики розрахунку характеристик тривалості процесів
    опрацювання інформації в СККС у випадку її багатопроцесорної реалізації ... 143
    3.8.2. Оцінювання тривалості виконання програми в СККС і забезпечуваного
    нею прискорення у випадку її багатопроцесорної реалізації .............................. 145
    3.9. Розроблення концептуальних основ побудови складових частин СККС ....... 146
    3.9.1. Концептуальні основи побудови системи розподілу обчислювального
    навантаження між комп’ютером і реконфігуровним середовищем .................... 146
    3.9.2. Концептуальні основи побудови системи генерування програмних
    моделей спеціалізованих процесорів ...................................................................... 149
    3.9.2.1. Вимоги в частині функціональної повноти системи генерування ................ 149
    3.9.2.2. Вимоги в частині архітектури процесорів ....................................................... 150
    3.9.2.3. Вимоги в частині технічних характеристик процесорів ................................ 153
    3.10. Формування вимог до інших складових частин СККС .................................. 156
    3.10.1. Вимоги до засобів логічного синтезу процесорів та конфігурування ПЛІС
    .................................................................................................................................... 156
    3.10.2. Вимоги до драйвера реконфігуровного середовища ................................ 157
    3.10.3. Вимоги до реконфігуровного середовища ................................................. 157
    3.11. Висновки до розділу 3 ........................................................................................ 158
    Розділ 4. Розроблення архітектури та основ проектування
    паралельних спеціалізованих процесорів для виконання в
    реконфігуровному середовищі алгоритмів з інваріантною до даних
    структурою 161
    4.1. Обґрунтування необхідності розроблення для СККС нової архітектури
    спеціалізованих процесорів з паралельним опрацюванням даних ......................... 161
    4.2. Розроблення формалізованої моделі та структури алгоритму ......................... 163
    4.2.1. Алгоритм та його параметри ......................................................................... 163
    4.2.2. Розроблення формалізованої моделі алгоритму ......................................... 164
    4.2.3. Розроблення структури алгоритму ............................................................... 166
    4.3. Розроблення способу опрацювання інформації в паралельних спеціалізованих
    процесорах (СП) для виконання алгоритмів з інваріантною до даних структурою
    ........................................................................................................................................ 168
    4.4. Розроблення архітектури паралельних СП для виконання алгоритмів з
    інваріантною до даних структурою ........................................................................... 169
    4.4.1. Розроблення та дослідження принципів структурної організації та
    функціонування паралельних СП для виконання алгоритмів з інваріантною до
    даних структурою ..................................................................................................... 169
    4.4.2. Формування параметрів компонентів програми паралельних СП для
    виконання алгоритмів з інваріантною до даних структурою .............................. 180
    4.4.2.1. Початкові дані .................................................................................................... 180
    6
    4.4.2.2. Опрацьовувані дані ............................................................................................ 180
    4.4.2.3. Кінцеві дані ......................................................................................................... 181
    4.4.2.4. Команди ............................................................................................................... 181
    4.4.2.5. Виконувані команди .......................................................................................... 182
    4.4.2.6. Індекси початкових даних ................................................................................. 182
    4.4.2.7. Індекси проміжних та кінцевих даних ............................................................. 183
    4.4.2.8. Індекси команд ................................................................................................... 183
    4.4.2.9. Індекси індексів проміжних даних ................................................................... 184
    4.4.2.10. Індекси індексів початкових даних ................................................................ 184
    4.4.2.11. Індекси індексів кінцевих даних ..................................................................... 185
    4.4.3. Розрахунок та дослідження технічних характеристик паралельних СП для
    виконання алгоритмів з інваріантною до даних структурою .............................. 185
    4.4.3.1. Необхідний об’єм пам’яті з впорядкованим доступом (ПВД) для зберігання
    даних ................................................................................................................................. 185
    4.4.3.2. Необхідний об’єм ПВД для зберігання команд .............................................. 186
    4.4.3.3. Необхідний об’єм ПВД для зберігання індексів ............................................. 187
    4.4.3.4. Кількість операційних пристроїв в арифметико-логічному пристрої
    паралельного СП та його продуктивність .................................................................... 188
    4.4.4. Розроблення методики розрахунку тривалості виконання програми в
    паралельному СП для виконання алгоритмів з інваріантною до даних
    структурою ................................................................................................................ 188
    4.4.4.1. Розрахунок тривалості етапу підготовки до виконання програми ............... 189
    4.4.4.2. Розрахунок тривалості етапу безпосереднього виконання програми .......... 190
    4.4.4.3. Розрахунок тривалості етапу виведення результатів виконання програми . 192
    4.4.4.4. Узагальнення методики розрахунку тривалості виконання програми в
    паралельному СП для виконання алгоритмів з інваріантною до даних структурою
    ........................................................................................................................................... 192
    4.5. Розроблення основ проектування паралельних СП для виконання алгоритмів з
    інваріантною до даних структурою ........................................................................... 193
    4.6. Висновки до розділу 4 .......................................................................................... 194
    Розділ 5. Розроблення та дослідження методів побудови в
    самоконфігуровних комп’ютерних системах засобів генерування
    програмних моделей спеціалізованих процесорів 197
    5.1. Генератори програмних моделей спеціалізованих процесорів ........................ 197
    5.2. Дослідження та вибір методів побудови в СККС засобів генерування
    програмних моделей спеціалізованих процесорів.................................................... 198
    5.2.1. Класифікація методів проектування програмних моделей спеціалізованих
    процесорів для реконфігуровних прискорювачів ................................................. 198
    5.2.2. Оцінювання доцільності застосування в СККС методу побудови засобів
    генерування з використанням бібліотек програмних моделей спеціалізованих
    процесорів ................................................................................................................. 199
    7
    5.2.3. Оцінювання доцільності застосування в СККС методу побудови засобів
    генерування з використанням бібліотек компонент програмних моделей
    спеціалізованих процесорів ..................................................................................... 203
    5.2.4. Оцінювання доцільності застосування в СККС методу побудови засобів
    генерування з використанням конфігуровних програмних моделей
    спеціалізованих процесорів ..................................................................................... 206
    5.2.5. Засоби генерування програмних моделей спеціалізованих процесорів з
    описів алгоритмів мовою високого рівня .............................................................. 210
    5.2.5.1. Технологія та засоби проектування програмних моделей спеціалізованих
    процесорів від алгоритму до рівня міжрегістрових передач ...................................... 210
    5.2.5.2. Засоби проектування програмних моделей спеціалізованих процесорів
    переведенням опису алгоритму мовою високого рівня в модель апаратно-програмної
    системи ............................................................................................................................. 213
    5.2.5.3. Засоби проектування програмних моделей спеціалізованих процесорів
    переведенням опису алгоритму мовою високого рівня в логічні вентилі ПЛІС ...... 214
    5.2.5.4. Засоби проектування програмних моделей спеціалізованих процесорів
    конфігуруванням їх базової конфігуровної програмної моделі ................................. 215
    5.2.6. Оцінювання доцільності застосування в СККС методу побудови засобів
    генерування програмних моделей спеціалізованих процесорів з описів
    алгоритмів мовою високого рівня .......................................................................... 216
    5.3. Порівняльний аналіз методів побудови в СККС засобів генерування
    програмних моделей спеціалізованих процесорів.................................................... 219
    5.4. Розроблення структури та принципів функціонування в СККС системи
    генерування програмних моделей спеціалізованих процесорів ............................. 222
    5.5. Висновки до розділу 5 .......................................................................................... 226
    Розділ 6. Розроблення та дослідження засобів взаємодії компонентів
    самоконфігуровної комп’ютерної системи 229
    6.1. Формування вимог до інтерфейсу між компонентами СККС ......................... 229
    6.2. Розроблення базової архітектури програмно-апаратної системи для організації
    взаємодії компонентів СККС ...................................................................................... 230
    6.2.1. Розроблення моделі взаємодії апаратних засобів комп’ютера та
    реконфігуровного прискорювача ............................................................................ 230
    6.2.2. Розроблення структури апаратно-програмної системи для організації
    взаємодії між комп’ютером та реконфігуровним прискорювачем ..................... 234
    6.2.3. Програмні засоби для організації взаємодії між комп’ютером та
    реконфігуровним прискорювачем .......................................................................... 235
    6.2.3.1. Визначення компонентів програмних засобів ................................................ 235
    6.2.3.2. Особливості проектування драйвера прискорювача ...................................... 235
    6.2.3.3. Особливості проектування бібліотеки рівня користувача ............................. 238
    6.2.3.4. Функції системних утиліт ................................................................................. 239
    6.3. Розроблення драйвера реконфігуровного прискорювача СККС ..................... 240
    8
    6.4. Розроблення принципів структурної організації та функціонування системи
    віддаленого доступу до реконфігуровних прискорювачів ...................................... 246
    6.4.1. Розроблення принципів структурної організації системи віддаленого
    доступу до реконфігуровних прискорювачів ........................................................ 246
    6.4.2. Розроблення методики налаштування засобів системи віддаленого доступу
    до реконфігуровних прискорювачів ....................................................................... 249
    6.4.3. Розроблення методів взаємодії з реконфігуровним прискорювачем в
    системі віддаленого доступу ................................................................................... 250
    6.5. Висновки до розділу 6 .......................................................................................... 252
    Розділ 7. Реалізація та експериментальні дослідження
    самоконфігуровних високопродуктивних комп’ютерних систем 254
    7.1. Реалізація та експериментальні дослідження системи розподілу
    обчислювального навантаження ................................................................................ 254
    7.1.1. Вибір типу фрагменту програмного коду .................................................... 254
    7.1.2. Особливості реалізації та структура системи розподілу обчислювального
    навантаження ............................................................................................................ 255
    7.2. Реалізація засобів генерування програмних моделей спеціалізованих
    процесорів ..................................................................................................................... 257
    7.2.1. Структура генератора на основі бібліотеки програмних моделей
    компонент спеціалізованих процесорів ................................................................. 257
    7.2.2. Алгоритмічні основи функціонування програми генерування файлів
    верхнього рівня ......................................................................................................... 259
    7.2.3. Методика використання програми генерування файлів верхнього рівня 260
    7.3. Реалізація та дослідження паралельних спеціалізованих процесорів для
    виконання алгоритмів з інваріантною до даних структурою та їхніх компонентів
    ........................................................................................................................................ 262
    7.3.1. Проектування та реалізація паралельного СП для виконання алгоритмів з
    інваріантною до даних структурою на прикладі алгоритму швидкого
    перетворення Фур’є (ШПФ) .................................................................................... 262
    7.3.1.1. Методи обчислення дискретного перетворення Фур‘є .................................. 262
    7.3.1.2. Структура паралельного СП для виконання алгоритму ШПФ ..................... 263
    7.3.1.3. Визначення вихідної інформації для синтезу паралельного СП для виконання
    алгоритму ШПФ .............................................................................................................. 265
    7.3.1.4. Проектування VHDL-моделі паралельного СП для виконання алгоритму
    ШПФ ................................................................................................................................. 267
    7.3.2. Реалізація та дослідження пристроїв паралельної ПВД для паралельних
    СП для виконання алгоритмів з інваріантною до даних структурою ................. 269
    7.3.2.1. Параметри та типи паралельної ПВД ............................................................... 269
    7.3.2.2. Вихідні дані до проектування паралельної ПВД ............................................ 271
    7.3.2.3. Паралельна ПВД з попереднім налаштуванням ............................................. 271
    7.3.2.4. Паралельна ПВД з одночасним надходженням даних та індексів ................ 274
    9
    7.3.2.5. Паралельна пам’ять із змінним впорядкованим доступом ............................ 277
    7.3.2.6. Паралельна пам’ять з фіксованим впорядкованим доступом ....................... 280
    7.3.2.7. Результати синтезу в ПЛІС паралельної ПВД ................................................ 283
    7.4. Розроблення засобів для проведення експериментальних досліджень та
    оцінювання характеристик РККС залежно від складності задачі .......................... 284
    7.4.1. Загальна структура засобів для проведення експериментальних досліджень
    .................................................................................................................................... 284
    7.4.2. Підсистема запису даних до прискорювача ................................................ 285
    7.4.3. Підсистема обчислення тестових завдань на процесорі комп’ютера ....... 286
    7.5. Інші впровадження результатів дисертаційної роботи ..................................... 287
    7.6. Висновки до розділу 7 .......................................................................................... 290
    Загальні висновки 292
    Список літератури 297
    Додаток А. Акти впровадження результатів дисертаційної роботи 323






    ПЕРЕЛІК УМОВНИХ ПОЗНАЧЕНЬ
    AAL – Acceleration Abstraction Layer
    AFU – Accelerator Function Unit
    AHM – Accelerator Hardware Module
    API – Application Programming Interface
    BIC – Bus Interface Controller
    Cell BE – Cell Broadband Engine
    CUDA – Compute Unified Device Architecture
    DIME – DSP and Image processing Module for Enhanced FPGAs
    DMA – Direct Memory Access
    EIB – Element Interconnect Bus
    FPGA – Field Programmed Gate Array
    GPC – Graphics Processing Cluster
    GPGPU – General-Purpose computing on Graphics Processing Unit
    GPU – Graphics Processing Unit
    HDL – Hardware Description Language
    HPC – High-performance computing
    HT – HyperTransport
    HTX – HyperTransport eXpansion
    LLVM – Low Level Virtual Machine
    LS – Local Store
    MIC – Memory Interface Controller
    MTAP – Multi-Threaded Array Processor
    NIC – Network Interface Chip
    PE – Processing Element
    PICO – Program In – Chip Out
    PPE – Power Processing Element
    RASC – Reconfigurable Application Specific Computing
    RCCS – Reconfigurable Computer System
    11
    RISC – Reduced Instruction Set Computer
    RPU – Reconfigurable Processor Unit
    SCCS – Self-Configurable Computer System
    SFU – Special Function Unit
    SIMD – Single Instruction stream Multiply Data stream
    SP – Specialized Processor
    SPE – Synergistic Processing Element
    VHDL – Very High Speed Integrated Circuit Hardware Description Language
    АЛП – Аррифметико-логічний пристрій
    ВКФ – Вторинний конфігураційний файл
    ГКФ – Головний конфігураційний файл
    ДКП – Дискретне косинусне перетворення
    ЛДП – Лінійна ділянка програми
    МКМД – Множинний потік команд та множинний потік даних
    НВІС – Надвелика ітегральна схема
    ОКМД – Одиночний потік команд та множинний потік даних
    ОП – Операційний пристрій
    ПВД – Пам’ять з впорядкованим доступом
    ПЗВД – Пам’ять із змінним впорядкованим доступом
    ПК – Персональний комп’ютер
    ПЛІС – Програмовна логічна інтегральна схема
    ПЛП – Програмовний логічний пристрій
    ПФВД – Пам'ять з фіксованим впорядкованим доступом
    РККС – Реконфігуровна комп’ютерна система
    РКП – Реконфігуровний прискорювач
    САП – Спеціалізований апаратний прискорювач
    СККС – Самоконфігуровна комп’ютерна система
    СП – Спеціалізований процесор
    ШПФ – Швидке перетворення Фур’є








    ВСТУП
    Підвищення продуктивності комп’ютерних систем є визначальним для науки та
    для інженерних галузей, а також стало каталізатором появи низки нових сфер
    діяльності людини. Разом з тим, на початку 21-го століття почав сповільнюватися
    темп зростання продуктивності універсальних процесорів, що є базою персональних
    комп’ютерів та багатопроцесорних комп’ютерних систем. Причиною цього є
    фундаментальні обмеження в енергоефективності КМОН-технології, за якою
    сьогодні реалізують переважну більшість інтегральних схем, та обмеження, які
    накладає традиційний спосіб опрацювання інформації на архітектуру
    універсального процесора, зокрема, послідовний характер виконання команд
    програми та послідовний доступ до команд і даних в пам’яті.
    Задача підвищення продуктивності комп’ютерних систем сьогодні в більшості
    випадків вирішується створенням багатоядерних процесорів та багатопроцесорних
    систем. Разом з тим, цей підхід також має принципові недоліки, головними з яких є
    низька реальна продуктивність таких систем, зумовлена невідповідністю їх
    структури структурі виконуваних алгоритмів, висока споживана потужність та
    низька ефективність використання обладнання. Для уникнення цих недоліків
    створюють комп’ютерні системи з спеціалізованими апаратними прискорювачами,
    однак вони є ефективними лише на вузьких класах алгоритмів. Тому одним з
    найперспективніших напрямів діяльності в сфері високопродуктивних обчислень
    сьогодні є створення реконфігуровних комп’ютерних систем (РККС), які позбавлені
    вказаних недоліків. РККС склали конкуренцію іншим типам високопродуктивних
    комп’ютерних систем завдяки високим технічним характеристикам сучасних
    кристалів програмовних логічних інтегральних схем (ПЛІС) – апаратній основі
    реконфігуровного середовища РККС, та досягненням у галузі технологій
    проектування апаратних засобів. Під’єднання синтезованих в реконфігуровному
    середовищі спеціалізованих процесорів зі структурою, яка в тій чи іншій мірі
    враховує особливості виконуваних алгоритмів, до комп’ютерних систем на основі
    універсальних процесорів, дозволяє на 2-3 порядки підняти їх продуктивність.
    13
    Питанням створення спеціалізованих процесорів присвячено роботи таких вчених як
    В.П. Тарасенка, А.О. Мельника, В.С. Глухова, О.В. Дрозда, М.В. Черкаського,
    Я.М. Николайчука, В.С. Сітнікова, Г.Ф. Кривулі.
    Можливість реконфігурування та синтезу в реконфігуровному середовищі
    спеціалізованих процесорів з новою структурою та функціями дозволяє змінювати
    функціональну орієнтацію створеної таким чином РККС зі збереженням її високої
    продуктивності на нових класах задач. Проектування спеціалізованого процесора,
    який синтезують в реконфігуровному середовищі, здійснюють, описуючи його
    архітектуру мовою VHDL або Verilog з використанням засобів проектування рівня
    міжрегістрових передач (Register Transfer Level Design Tools), або навіть задаючи
    його технічні характеристики та виконуваний ним алгоритм мовою програмування
    високого рівня, як це забезпечують новітні засоби проектування системного рівня
    (Electronic System Level Design Tools).
    В провідних наукових установах світу, зокрема в Університеті м. Единбург
    (Великобританія), Університеті м. Вашингтон та Каліфорнійському Університеті
    Берклі (США), Таганрозькому технологічному інституті Південного федерального
    університету (Росія), а також України – в Національному університеті «Львівська
    політехніка», Інституті кібернетики НАН України, Національному технічному
    університеті України «Київський політехнічний інститут», створено наукові школи,
    які займаються проблематикою реконфігуровних обчислень. Питаннями
    проектування та дослідження РККС займається велика кількість науковців, зокрема,
    в Україні – В.М. Опанасенко, О.В. Палагін, А.О. Мельник, Р.Б. Дунець,
    В.П. Тарасенко, В.С. Харченко, В.С. Глухов; в Росії – І.А. Каляєв, Є.А. Семерніков,
    В.І. Шмойлов; в країнах Заходу – А. DeHon, S. Hauck, J. Becker, A. Thomas,
    M. Gokhale. Значна кількість всесвітньо відомих компаній пропонують на ринок як
    РККС (SRC Computers, Stone Ridge Technology та ін.), так і апаратні та програмні
    засоби для їх побудови – ПЛІС та інтегровані середовища для проектування та
    синтезу в них спеціалізованих процесорів (Xilinx, Altera, Microsemi та ін.),
    реконфігуровні прискорювачі та програмні засоби для роботи з ними (Nallatech,
    Clearspeed та ін.).
    14
    Разом з тим, задачі проектування та синтезу спеціалізованих процесорів в
    реконфігуровному середовищі, зміни конфігурації реконфігуровного середовища, а
    перед цим розподілу обчислювального навантаження між універсальними та
    спеціалізованими процесорами РККС, потребують залучення значних людських
    ресурсів, що суттєво знижує ефективність РККС. Постає задача пошуку шляхів
    підвищення їх ефективності. В роботі ця задача вирішується шляхом створення
    нового класу комп’ютерних засобів – самоконфігуровних високопродуктивних
    комп’ютерних систем. В самоконфігуровних комп’ютерних системах (СККС)
    виконання зазначених вище трудо- та часомістких процесів перекладено з
    користувача на комп’ютерні засоби, що дозволило використати всі потенційні
    можливості, які надаються властивістю зміни конфігурації реконфігуровного
    середовища, і забезпечило їм одне з чільних місць серед найперспективніших
    засобів високопродуктивних обчислень. Однак для реалізації цих можливостей є
    необхідним створення теорії побудови СККС. Для цього необхідно провести
    розроблення та дослідження невивчених перспективних моделей та методів
    обчислень, нових способів опрацювання інформації та нових комп’ютерних засобів,
    щоб перекласти трудомісткі та часомісткі процеси з користувача на ці засоби.
    Такі дослідження мають привести до істотних зрушень у підвищенні
    ефективності комп’ютерних систем, від яких залежить прогрес у оборонній та
    космічній техніці, інформаційно-комунікаційних технологіях, вимірювальній техніці
    та метрології, електроніці, медицині та інших галузях, чим і зумовлена актуальність
    даної роботи.
    Зв’язок роботи з науковими програмами, планами, темами. Робота і
    напрямки її досліджень пов’язані з планами виконання науково-дослідних робіт
    кафедри безпеки інформаційних технологій Національного університету “Львівська
    політехніка”. Дисертаційна робота безпосередньо пов’язана з держбюджетною
    науково-дослідною роботою: “Cтворення програмних засобів високопродуктивних
    комп’ютерних систем на основі універсальних комп’ютерів”, виконаною в 2009-2010 роках з базовим фінансуванням Міністерства освіти і науки України, номер
    державної реєстрації 0109U007349, та держбюджетною науково-дослідною роботою
    15
    “Розроблення теорії побудови багатопортової пам’яті комп’ютера на принципах
    паралельного доступу до даних”, виконаною в 2012-2013 роках на замовлення
    Міністерства освіти і науки України в рамках пріоритетного тематичного напряму
    Національного університету “Львівська політехніка” “Нові інтелектуальні,
    комп’ютерні, радіоелектронні, інфокомунікаційні вимірювальні технології, системи,
    пристрої та бортові системи космічних апаратів”, номер державної реєстрації
    0112U001213.
    Мета і задачі дослідження. Метою дисертаційної роботи є підвищення
    ефективності комп`ютерних систем з реконфігуровною логікою шляхом побудови
    самоконфігуровних високопродуктивних комп’ютерних систем і їхніх компонентів,
    їх дослідження та реалізація.
    Для досягнення мети необхідно було виконати наступні задачі:
    1. Провести аналіз принципів побудови, технічних характеристик і технологій
    проектування високопродуктивних комп’ютерних систем з використанням
    пристроїв реконфігуровної логіки, визначити проблемні питання їх побудови та
    обґрунтувати актуальність вирішення цих питань.
    2. Розробити основи організації функціонування РККС, виявити вузькі місця і
    запропонувати вдосконалені послідовності виконання обчислювальних
    процесів.
    3. Удосконалити підходи до організації роботи апаратних та програмних засобів,
    які використовуються для виконання обчислювальних процесів в РККС,
    звернувши особливу увагу на проблеми взаємодії універсального процесора з
    реконфігуровним середовищем.
    4. Запропонувати вимоги та дослідити варіанти архітектури і методи побудови
    спеціалізованих процесорів, які реалізуються в реконфігуровному середовищі
    комп’ютерних систем.
    5. Дослідити підходи до реалізації засобів створення програмних моделей
    спеціалізованих процесорів і вибрати з них ефективні для застосування в
    комп’ютерних системах з реконфігуровною логікою.
    16
    6. Вдосконалити спосіб опрацювання інформації в комп’ютерних системах з
    реконфігуровною логікою та розробити засоби для його реалізації.
    7. Розробити та дослідити зразки базових компонентів СККС і показати їх
    ефективність шляхом проведення аналітичних оцінювань та
    експериментальних досліджень.
    Об’єкт дослідження – процеси опрацювання інформації в самоконфігуровних
    високопродуктивних комп’ютерних системах.
    Предмет дослідження – методи та засоби побудови та проектування
    самоконфігуровних високопродуктивних комп’ютерних систем.
    Методи досліджень, які використані в роботі, базуються на теорії
    проектування комп’ютерних систем, теорії проектування надвеликих інтегральних
    схем, обчислювальній та дискретній математиці. У проведених дослідженнях
    використано та розвинуто теорію побудови реконфігуровних комп’ютерних систем
    та теорію високорівневого проектування програмних моделей спеціалізованих
    процесорів, а також використано апарат теорії алгоритмів, множин, матриць, графів,
    комбінаторику, цифрову схемотехніку, моделювання алгоритмів та апаратних
    засобів комп’ютера, експериментальні дослідження, програмування мовами опису
    апаратних засобів, програмування мовами високого рівня.
    Наукова новизна роботи.
    1. Отримала подальший розвиток теорія побудови РККС, а саме:
    1.1. Здійснено класифікацію та проведено дослідження базових структур і
    відповідних їм типів архітектури РККС. Розвинуто теорію їх проектування
    з виокремленням проблем, що перешкоджають підвищенню ефективності
    цих систем, і знайдено шляхи та розроблено методи їх вирішення.
    1.2. Вперше досліджено ступінь впливу технічних характеристик засобів
    взаємодії компонентів РККС на її продуктивність, що забезпечує
    можливість розрахунку тривалості етапів опрацювання інформації в
    системі та, відповідно до запропонованої методики, дозволяє вибрати
    ефективніший для виконання алгоритму заданої обчислювальної
    складності тип архітектури РККС.
    17
    2. Розроблено основи теорії побудови СККС, які полягають в наступному:
    2.1. Вперше запропоновано концепцію побудови СККС та метод
    самоконфігурування, який, на відміну від методу конфігурування РККС,
    передбачає автоматичне виконання розподілу програми між універсальним
    комп’ютером та реконфігуровним прискорювачем, створення файлу
    конфігурації реконфігуровного середовища та автоматичне створення в
    цьому середовищі спеціалізованого процесора, що дозволило скоротити
    час та зменшити складність опрацювання інформації, а також зняти
    обмеження, які накладає спеціалізація процесора, і забезпечити ефективне
    використання реконфігуровної логіки для виконання довільних задач.
    2.2. Вперше, на основі методу самоконфігурування, розроблено спосіб
    опрацювання інформації в СККС для варіантів їх одно- та
    багатопроцесорної реалізації, в якому всі дії, включаючи розподіл
    програми на підпрограми універсального комп’ютера та реконфігуровного
    середовища, створення на мові опису апаратних засобів програмної моделі
    спеціалізованого процесора для виконання підпрограми реконфігуровного
    середовища, виконання її логічного синтезу та завантаження до
    реконфігуровного се
  • Список літератури:
  • ЗАГАЛЬНІ ВИСНОВКИ
    У дисертаційній роботі вирішено актуальну науково-практичну проблему
    підвищення продуктивності та ефективності використання комп’ютерних систем з
    реконфігуровною логікою шляхом створення нового класу комп’ютерних засобів –
    самоконфігуровних високопродуктивних комп’ютерних систем, методів та засобів
    їх побудови та організації функціонування. При цьому отримано наступні
    результати:
    1. Запропоновано визначення основних понять і класифікацію базових типів
    архітектури реконфігуровних комп'ютерних систем, розроблено спосіб
    опрацювання в них інформації, принципи його реалізації та методику
    розрахунку тривалості опрацювання інформації, що дозволило виявити вузькі
    місця, які є причиною недостатньої ефективності реконфігуровних
    комп'ютерних систем на певних класах задач, та знайти шляхи їх
    вдосконалення.
    2. Проведено дослідження і порівняльний аналіз типів архітектури
    реконфігуровних комп’ютерних систем та обґрунтовано доцільність
    застосування в них реконфігуровних прискорювачів, проведено дослідження
    засобів взаємодії компонентів РККС слабозв’язаної та тіснозв’язаної типів
    архітектури з позиції організації зв’язку між комп’ютером і реконфігуровним
    прискорювачем та показано організацію їх функціонування, оцінено технічні
    характеристики існуючих реконфігуровних прискорювачів та проведено
    експериментальні дослідження характеристик РККС залежно від складності
    задачі, що дало можливість визначити області доцільного застосування
    реконфігуровних прискорювачів з позиції організації зв’язку між ними і
    комп’ютером.
    3. На основі аналізу способу опрацювання інформації в РККС виокремлено
    основні проблеми, що перешкоджають підвищенню їх ефективності, та
    запропоновано підхід до вирішення цих проблем, який полягає в автоматизації
    виконання розподілу обчислювального навантаження між універсальним
    293
    комп’ютером та реконфігуровним середовищем, автоматизації створення
    програмних моделей спеціалізованих процесорів, та вдосконаленні способу
    опрацювання інформації в РККС таким чином, щоб завантаження до
    реконфігуровного середовища отриманих в результаті логічного синтезу файлів
    конфігурації здійснювалось не користувачем, а операційною системою
    паралельно з завантаженням виконавчого файлу підпрограми універсального
    комп’ютера до його основної пам’яті після подання користувачем команди
    ініціалізації виконання програми.
    4. Запропоновано концепцію, принципи побудови та організації функціонування
    нового типу високопродуктивних комп’ютерних засобів – самоконфігуровних
    комп’ютерних систем, які, у порівнянні з реконфігуровними комп’ютерними
    системами, мають вищу продуктивність та ефективні на довільних класах
    алгоритмів. Розроблено концептуальні основи побудови їх складових частин –
    системи розподілу обчислювального навантаження та системи генерування
    програмних моделей спеціалізованих процесорів, сформовано вимоги до
    засобів логічного синтезу спеціалізованих процесорів і конфігурування ПЛІС,
    реконфігуровного середовища та його драйвера, що дало можливість здійснити
    їх проектування та реалізацію. Розроблені структура, принципи побудови та
    організації функціонування самоконфігуровних комп’ютерних систем є
    основою створення нових високопродуктивних комп’ютерних систем з
    реконфігуровною логікою, в яких буде використано всі потенційні можливості,
    надані властивістю зміни конфігурації реконфігуровного середовища, що
    забезпечує їм одне з чільних місць серед найперспективніших засобів
    високопродуктивних обчислень.
    5. Розроблено метод самоконфігурування комп’ютерних систем з
    реконфігуровною логікою та відповідний йому спосіб опрацювання інформації
    в таких комп’ютерних системах, в якому всі дії виконуються автоматично,
    включаючи розподіл програми на підпрограми універсального комп’ютера та
    реконфігуровного середовища, створення на мові опису апаратних засобів
    програмної моделі спеціалізованого процесора для виконання підпрограми
    294
    реконфігуровного середовища, виконання її логічного синтезу та завантаження
    до реконфігуровного середовища отриманих в результаті логічного синтезу
    файлів конфігурації, та який, на відміну від способу опрацювання інформації в
    реконфігуровних комп'ютерних системах, де ці дії виконуються користувачем,
    дозволяє значного підвищити продуктивність та розширити клас вирішуваних
    комп’ютерною системою задач.
    6. Розроблено методику та подано вирази для розрахунку характеристик
    тривалості процесів опрацювання інформації в самоконфігуровних
    комп’ютерних системах, проведено дослідження основних процесів на етапах
    завантаження та виконання програми, та розроблено методику оцінювання
    тривалості виконання програми в самоконфігуровній комп’ютерній системі і
    забезпечуваного нею прискорення, що дало можливість довести її принципові
    переваги над реконфігуровною комп’ютерною системою і підтвердило
    ефективність положень, покладених в основу способу опрацювання в ній
    інформації.
    7. Запропоновано модифікацію розробленого способу опрацювання інформації та
    структури самоконфігуровної комп’ютерної системи для випадку її
    багатопроцесорної реалізації, розроблено методику розрахунку часу
    опрацювання в ній інформації та методику оцінювання забезпечуваного нею
    прискорення, що підтвердило доцільність застосування методу
    самоконфігурування у високопродуктивних багатопроцесорних комп’ютерних
    системах.
    8. Обґрунтовано необхідність та розроблено новий спосіб опрацювання
    інформації з впорядкованими компонентами програми, який, на відміну від
    відомих способів опрацювання інформації, враховує в програмі просторові та
    часові параметри виконуваного алгоритму та забезпечує паралельне виконання
    алгоритмів з інваріантною до даних структурою.
    9. Розроблено нову архітектуру паралельних спеціалізованих процесорів для
    виконання в реконфігуровному середовищі самоконфігуровних комп’ютерних
    систем алгоритмів з інваріантною до даних структурою, яка базується на
    295
    новому способі опрацювання інформації з впорядкованими компонентами
    програми. Розроблено чотири типи структур таких спеціалізованих процесорів,
    проведено розрахунок і дослідження їхніх технічних характеристик, розроблено
    методику розрахунку і отримано вирази для оцінювання тривалості виконання
    ними програми, розроблено основи їх проектування, що дало можливість
    сформувати теоретичну базу для побудови систем автоматичного проектування
    програмних моделей спеціалізованих процесорів для виконання алгоритмів з
    інваріантною до даних структурою. Розроблена архітектура спеціалізованих
    процесорів для виконання алгоритмів з інваріантною до даних структурою з
    паралельним опрацюванням даних може бути покладена в основу побудови
    високопродуктивних спеціалізованих процесорів для виконання завдань
    широкого кола застосувань.
    10. Запропоновано чотири методи побудови в самоконфігуровних комп’ютерних
    системах засобів генерування програмних моделей спеціалізованих процесорів
    та проведено оцінювання доцільності їх застосування в цих системах,
    розроблено структури засобів генерування та досліджено їх на відповідність
    вимогам до системи генерування, сформульовано вирази для оцінювання
    часових характеристик роботи цих засобів, що дало можливість розробити
    структуру і основи організації функціонування системи генерування
    програмних моделей спеціалізованих процесорів в самоконфігуровній
    комп’ютерній системі, яка відповідає вимогам в частині функціональної
    повноти, в частині технічних характеристик моделей процесорів, та в частині
    архітектури моделей процесорів.
    11. Сформовано вимоги до інтерфейсу між компонентами самоконфігуровної
    комп’ютерної системи та розроблено базову архітектуру програмно-апаратної
    системи для організації взаємодії між комп’ютером та реконфігуровним
    прискорювачем і модель такої взаємодії в самоконфігуровній комп’ютерній
    системі, що дало можливість здійснити проектування та реалізацію програмних
    засобів взаємодії між комп’ютером та реконфігуровним прискорювачем.
    Розроблені засоби організації взаємодії компонентів самоконфігуровної
    296
    комп’ютерної системи можуть бути використані для організації взаємодії
    реконфігуровних прискорювачів в довільних комп’ютерних системах, у тім
    числі й з віддаленим доступом.
    12. Проведено експериментальні дослідження самоконфігуровних комп’ютерних
    систем та здійснено реалізацію системи розподілу обчислювального
    навантаження між комп’ютером і реконфігуровним середовищем і системи
    генерування програмних моделей спеціалізованих процесорів, проведено
    проектування та розроблено з використанням мови VHDL програмну модель
    паралельного спеціалізованого процесора для виконання алгоритмів з
    інваріантною до даних структурою на прикладі алгоритму ШПФ за методом
    Кулі-Тьюкі та програмні моделі чотирьох типів паралельної пам’яті з
    впорядкованим доступом для паралельних спеціалізованих процесорів для
    виконання алгоритмів з інваріантною до даних структурою. Результати
    проведених експериментальних досліджень підтвердили коректність
    покладених в основу побудови та організації функціонування
    самоконфігуровних комп’ютерних систем методів та роботоздатність
    реалізованих засобів.








    СПИСОК ЛІТЕРАТУРИ
    1. TOP 500 Supercomputer Sites [Електронний ресурс] // – Режим доступу:
    http://www.top500.org
    2. Introducing Titan – The World’s №1 Open Science Supercomputer [Електронний
    ресурс] // – Режим доступу: http://www.olcf.ornl.gov/titan/
    3. Nvidia Tesla Personal Supercomputer [Електронний ресурс] // – Режим доступу:
    http://www.nvidia.com/docs/IO/43395/NV_DS_Tesla_PSC_US_Mar09_LowRes.pdf
    4. Cray CX1 [Електронний ресурс] // – Режим доступу:
    http://www.cray.com/Assets/PDF/products/cx1/CX1_brochure.pdf
    5. Cray CX1 Supercomputer [Електронний ресурс] // – Режим доступу:
    http://www.englobe-tec.com/wp-content/uploads/2010/05/CX1-Brochure.pdf
    6. Making Supercomputing Personal. – 2011 [Електронний ресурс] // – Режим
    доступу: http://www.sgi.com/pdfs/4177.pdf
    7. Мельник В.А. Стан та перспективи розвитку високопродуктивних
    обчислювальних систем / В.А. Мельник // Вісник Національного університету
    „Львівська політехніка” «Комп’ютерні системи та мережі». №717, Львів, 2011.
    –С.96 – 104.
    8. Gokhale M. B. Reconfigurable Computing: Accelerating Computation with Field-Programmable Gate Arrays / M. B. Gokhale, P. S. Graham. Springer, 2005. – 248p.
    9. Мельник А.О. Персональні суперкомп’ютери: архітектура, проектування,
    застосування: монографія / А.О. Мельник, В.А. Мельник. – Львів: Видавництво
    Львівської політехніки, 2013. – 516 с.
    10. Entroducing Titan: The World’s #1 Open Science Supercomputer [Електронний
    ресурс] // – Режим доступу: http://www.olcf.ornl.gov/titan/
    11. K computer. – June 2011 [Електронний ресурс] // – Режим доступу:
    http://www.nsc.riken.jp/K/diary_eng.html
    12. Мельник В.А. Тенденції розвитку персональних суперкомп’ютерів /
    В.А. Мельник // Матеріали 5-ої Міжнародної науково-технічної конференції
    298
    «Сучасні комп'ютерні системи та мережі: розробка та використання» (ASCN-2011). – Львів, 2011. – С.10 – 13.
    13. John D. Owens, David Luebke, Naga Govindaraju, Mark Harris, Jens Krüger, Aaron
    E. Lefohn, Tim Purcell. "A Survey of General-Purpose Computation on Graphics
    Hardware". Computer Graphics Forum, volume 26, number 1, 2007, pp. 80-113.
    14. Nvidia Developer Zone [Електронний ресурс] // – Режим доступу:
    http://developer.nvidia.com/category/zone/cuda-zone
    15. Nvidia Tesla computing processor solve tomorrow’s problems today. Tesla C1060
    Datasheet / Nvidia Corporation, 2008. [Електронний ресурс] // – Режим доступу:
    www.nvidia.com/dosc/IO/43395/NV_DS_Tesla_C1060_US_Jun08_FINAL_LowRes
    .pdf
    16. Supercomputing Solutions for Everyone [Електронний ресурс] // – Режим доступу:
    http://www.cray.com/Products/CX/Systems/CX1.aspx
    17. Николайчук Я. М. Проектування спеціалізованих комп'ютерних систем [Текст]:
    навч. посіб. / Я. М. Николайчук, Н. Я. Возна, І. Р. Пітух. – Тернопіль: Терно-граф, 2010. – 392 с.
    18. Дрозд О.В. Спеціалізовані архітектури ЕОМ: Навчальний посібник /
    О.В. Дрозд, М.В. Лобачев, Ю.В. Дрозд // В.о. Одес. нац. політехн. ун-т. – Одеса:
    Наука і техніка, 2005. – 120 с.
    19. Мельник А. О. Спеціалізовані комп’ютерні системи реального часу /
    А.О. Мельник // – Львів: Державний університет “Львівська політехніка”, 1996.
    – 54 c.
    20. Мельник А.О. Програмовані процесори обробки сигналів / А.О. Мельник // –
    Львів: Державний університет „Львівська політехніка, 2000. – 57 с.
    21. Volodymyr Kindratenko. Overview of Hardware Accelerators. National Center for
    Supercomputing Applications. University of Illinois at Urbana-Champaign
    [Електронний ресурс] // – Режим доступу:
    http://wsdmhp09.hpcl.gwu.edu/kindratenko.pdf
    22. Thomas Chen, Ram Raghavan, Jason Dale, Eiji Iwata. Cell Broadband Engine
    Architecture and its first implementation. A performance view. – November, 2005.
    299
    [Електронний ресурс] // – Режим доступу:
    http://www.ibm.com/developerworks/power/library/pa-cellperf/
    23. IBM Systems and Technology Group. Creating High Performance Radar
    Applications with the Cell Broadband Engine. –April, 2007 [Електронний ресурс] //
    – Режим доступу: http://www.gedae.com/documents/IBM_Cell_Radar2007Conf.pdf
    24. С. Ярошенко. Cell: процессор-клетка. – 2005 [Електронний ресурс] // – Режим
    доступу: http://comprice.ru/articles/detail.php?ID=40100
    25. D. Pham et al. Overview of the architecture, circuit design and physical
    implementation of a first-generation Cell processor / D. Pham // IEEE J. Solid-State
    Circuits, vol. 41, – Aug. 2007. - no. 8, – P. 1692–1706.
    26. Мельник А.О. Вимоги до пам’яті спеціалізованих процесорів / А.О. Мельник,
    Д.Х. Аль Равашдех, М.С. Аль Хабабсах // Радіоелектронні і комп’ютерні
    системи, 2009. - № 6 (40). – С. 213-216.
    27. TSUBAME2.0: A Tiny and Greenest Petaflops Supercomputer. Satoshi Matsuoka.
    Global Scientific Information and Computing Center (GSIC), Tokyo Institute of
    Technology (Tokyo Tech.), Booth #1127, Booth Presentations SC10, Nov 2010.
    [Електронний ресурс] // – Режим доступу:
    http://www.nvidia.com/content/PDF/sc_2010/theater/Matsuoka_SC10.pdf
    28. CSX700 Floating Point Processor Datasheet. ClearSpeed Technology Ltd, 2011, 53p.
    [Електронний ресурс] // – Режим доступу:
    www.clearspeed.com/products/documents/csx700_datasheet_rev1e.pdf
    29. T. R. Halfhill. Floating point buoys ClearSpeed. Microprocessor Report, p. 7, Nov.
    2003 [Електронний ресурс] // – Режим доступу:
    http://130.203.133.150/showciting?cid=4678932
    30. J. Makino. GRAPE accelerators. [Електронний ресурс] / – Режим доступу:
    http://www.artcompsci.org/~makino/talks/barcelona20100603.pdf
    31. J Makino. Specialized Hardware for Supercomputing, SciDAC Review, Spring 2009
    [Електронний ресурс] // – Режим доступу:
    http://www.scidacreview.org/0902/html/hardware.html
    300
    32. Nvidia CUDA Compute Unified Device Architecture. Programming Guide / Version
    1.0. Nvidia Corporation, 2007. – 113 р.
    33. Nvidia Kepler GK110 Next-Generation CUDA Compute Architecture.
    [Електронний ресурс] // – Режим доступу:
    http://www.nvidia.com/content/PDF/kepler/NV_DS_Tesla_KCompute_Arch_May_2
    012_LR.pdf
    34. Whitepaper. Nvidia’s Next Generation CUDA Compute Architecture: Kepler TM
    GK110 [Електронний ресурс] // – Режим доступу:
    http://www.nvidia.com/content/PDF/kepler/Nvidia-Kepler-GK110-Architecture-Whitepaper.pdf
    35. П. Болотов. Краткий анализ архитектуры Nvidia Fermi. – 14.11.2009
    [Електронний ресурс] // – Режим доступу: http://nvworld.ru/articles/nvidia_fermi
    36. Nvidia официально представила новую архитектуру CUDA GPU под кодовым
    названием Fermi. – 02.10.2009 [Електронний ресурс] // – Режим доступу:
    http://www.ixbt.com/news/hard/index.shtml?12/46/33
    37. GeForce_GTX_680_Whitepaper_FINAL. [Електронний ресурс] // – Режим
    доступу: http://www.geforce.com/Active/en_US/en_US/pdf/GeForce-GTX-680-Whitepaper-FINAL.pdf
    38. X. Zhang, K. Parhi. High-speed VLSI architectures for the AES algorithm // IEEE
    Transactions on Very Large Scale Integration (VLSI) Systems, vol. 12, no. 9, 2004. –
    P. 957–967.
    39. B.M. Baas. A low-power high-performance 1024-point FFT processor // IEEE
    Journal of Solid-State Circuits, vol. 34, March 1999. –P. 380-387.
    40. K. Babionitakis, V. A. Chouliaras, K. Manolopoulos, K. Nakos, D. Reisis, N.
    Vlassopoulos. Fully Systolic FFT Architecture for Giga-sample Applications //
    Journal Of Signal Processing Systems. Volume 58, Number 3, 2010. –P. 281-299.
    41. Мельник А. О. Програмні спеціалізовані процесори для реконфігуровних
    прискорювачів універсальних комп’ютерів / Мельник А. О., Мохаммад Аль
    Хабабсах // Науковий вісник “Комп’ютерні системи і компоненти”. – Чернівці:
    301
    Чернівецький національний університет імені Юрія Федьковича, - 2008. - №478.
    – C. 20-25.
    42. Глухов В.С. Використання реконфігурованих елементів у бортових системах
    збору й обробки даних / В.С. Глухов, А.А. Лукенюк, С.Г. Шендерук // Вторая
    Украинская конференция по перспективным космическим исследованиям:
    Сборник тезисов. – 2002 – Кацивели. – С. 67.
    43. Тaрacенкo В.П. Cтруктури для ПЛІС реалізацій детального адаптивного
    порівняння послідовностей символів / В.П. Тaрacенкo, O.К. Теcленкo, Я.M.
    Клятчeнкo // Міжвузівський збірник наукових праць “Наукові нотатки”. – Вип.
    27. – Луцьк, 2010. – С. 306–314.
    44. Тарасенко В.П. Команди спеціалізованого процесора на ПЛІС для адаптивного
    порівняння інформаційних об’єктів / В.П. Тарасенко, О.К. Тесленко, Я.M.
    Клятченко А.Ю. Михайлюк // НАУ “ХАІ”, Наук.-техн. журн.“Радіоелектронні і
    комп'ютерні системи”. № 7. – 2010. – С. 220–225.
    45. Клятченко Я. М. Soft-процесорний пристрій на базі сучасних ПЛІС для
    реалізації алгоритму адаптивного порівняння інформаційних об’єктів / Я. М.
    Клятченко, В. П. Тарасенко, О. В. Тарасенко-Клятченко, О. К. Тесленко //
    Вісник / Національний університет «Львівська політехніка» «Комп’ютерні
    системи та мережі». № 717. – Львів : Видавництво Львівської політехніки, 2011.
    – С. 64-68.
    46. Николайчук Я. М. Швидкодіючий алгоритм та процесор порівняння чисел у
    системі залишкових класів базису Крестенсона / Я.М. Николайчук,
    О.І. Волинський, С.В. Кулина // Искусственный интеллект. – 2008. – № 3. –
    С. 348-352.
    47. Melnyk V. Intelligent Agents and Multi-Agent Systems in Automation, Computing
    and Networking / V. Melnyk, M. Plaza // Central European Exchange Programme for
    University Studies (CEEPUS) Summer School 2005, Intelligent Control Systems.
    Brno, Czech Republic, 2005. –Р. 61-65.
    48. Melnyk V. IEEE 802.15.4b-Compatible AES-CCM* Security Module Application in
    IEEE 802.11i Environment / V. Melnyk // Proceedings of 3-rd International
    302
    Conference “Advanced Computer Systems and Networks: Design and Application”,
    2007, Lviv, Ukraine. – P. 139-142.
    49. Estrin G. Organization of computer system: the fixed plus variable structure
    computer / G. Estrin // Proc. Western Joint Computer Conf. – 1960. – N5. – P. 33–40.
    50. All Programmable Technologies from Xilinx. Inc [Електронний ресурс] // – Режим
    доступу: www.xilinx.com
    51. Hauser J.R. Garp: A mips processor with a reconfigurable coprocessor / J.R. Hauser,
    J. Wawrzynek // Proceedings of the IEEE Workshop on FPGAs for Custom
    Computing Machines, 1997, -P. 24-33.
    52. Leijten J. AVISPA: a massively parallel reconfigurable accelerator / J. Leijten,
    G. Burns, J. Huisken, E. Waterlander, A. Van Wel // System-on-Chip, 2003.
    Proceedings. International Symposium on. Issue Date: 19-21 Nov. 2003.
    53. Singh H.Morphosys: An Integrated Reconfigurable System for Data-Parallel and
    Computation-Intensive Applications / H. Singh, M.H. Lee, G. Lu, N. Bagherzadeh,
    F.J. Kurdahi and E.M. Chaves Filho // IEEE Transactions on Computers, - 2000.-vol.
    49(5). – P.465-481.
    54. Sandeep Kumar, Christof Paar, Jan Pelzl, Gerd Pfeiffer, Manfred Schimmler.
    COPACOBANA: A Cost-Optimized Special-Purpose Hardware for Code-Breaking //
    14th Annual IEEE Symposium on Field-Programmable Custom Computing
    Machines (FCCM'06), 2006. – P. 311-312.
    55. Keating M. Reuse Methodology Manual for System-On-a-Chip Design / M. Keating,
    P. Bricaud // Kluwer Academic Publishers, 1999. – 224 p.
    56. IEEE, Standard VHDL Language Reference Manual. Standard 1076-1993.- New
    York, NY: IEEE, 1993.
    57. IEEE, Standard Verilog Hardware Description Language Reference Manual.
    Standard 1364-1995, New York, NY: IEEE, 1995.
    58. Мельник В.А. Технології та засоби проектування ядер стандартизованих та
    замовних комп’ютерних пристроїв для високопродуктивних прискорювачів /
    А.О. Мельник, В.А. Мельник // IV Всеукраїнська науково-практична
    303
    конференція «Комп’ютерні технології: наука і освіта», м. Луцьк, Луцький
    інститут розвитку людини Університету «Україна», 2009р. – С.117-121.
    59. Melnyk A. DSP System Based on Programmable Processor with Scalable
    Parametrizable Fast Orthogonal Transforms Hardware Core / A. Melnyk //
    Proceedings of the XI Conference “Application of Microprocessors in Automatic
    Control and Measurement”, V.1, Warsaw, Poland, 1998. –P.87-98.
    60. Melnyk V. Development of Symmetric Block Ciphering Processors using techniques
    of configuring the Soft-Cores / V. Melnyk // Pomiary, Automatyka, Kontrola.
    Miesiecznik Naukowo-Techniczny. 7/8, 2003. – P. 59-62.
    61. Melnyk W. IP Cores Generators in SoC Design / W. Melnyk., А. Melnyk //
    Proceedingd of the 5th international Conference for Students and Young Scientists
    „Telecommunication in XXI Century“, Poland, Wólka Milanowska, 24-26 November
    2005. – P. 23-28.
    62. Мельник В.А. Побудова генераторів програмних моделей комп'ютерних систем
    на кристалі / В.А. Мельник, З. Сарайрех // Науково-технічний журнал
    Національного аерокосмічного університету ім. М.Є. Жуковського
    “Харківський авіаційний інститут” «Радіоелектронні і комп’ютерні системи»,
    №7 (48), Харків "ХАІ", 2010. – C. 215-219.
    63. ІEEE Std. 1666-2005 IEEE Standard SystemC Language Reference Manual, 31
    March 2006. – 423p.
    64. Handel-C Language Reference Manual For DK. Version 4. // Celoxica Limited,
    2005. – 348p.
    65. Genest G. Programming an FPGA-based Super Computer Using a C-to-VHDL
    Compiler: DIME-C / G. Genest, R. Chamberlain, R. Bruce // Adaptive Hardware and
    Systems, 2007. AHS 2007. Second NASA/ESA Conference, 5-8 Aug. 2007. – P.280–
    286.
    66. Pellerin D. Practical FPGA Programming in C / D. Pellerin, S. Thibault // Pearson
    Education, Inc., Upper Saddle River, NJ, 2005.
    67. Stefan Möhl. The Mitrion-C Programming Language. Mitrionics Inc., Lund, Sweden,
    2005.
    304
    68. Merrick 3 | Enterpoint – FPGA Board Design [Електронний ресурс] // – Режим
    доступу: www.enterpoint.co.uk/products/asic-development-high-performance-computing/merrick-3/
    69. Мельник А.О. Хамелеон – система високорівневого синтезу спеціалізованих
    процесорів / А.О. Мельник, А.М. Сало, В. Клименко, Л. Цигилик, А. Юрчук //
    Науково-тухнічний журнал Національного аерокосмічного університету
    ім. М.Є. Жуковського «Харківський авіаційний інститут», Харків, 2009. -№5. –
    C. 189-195.
    70. Celoxica – Ultra-low latency and accelerated computing solutions for high frequency
    trading [Електронний ресурс] // – Режим доступу: www.celoxica.com
    71. Impulse Accelerated Technologies – Software Tools for an Accelerated World
    [Електронний ресурс] // – Режим доступу: www.impulsec.com
    72. Мельник В.А. Самоконфігуровні апаратні прискорювачі обчислень в
    комп’ютерах / В.А. Мельник, З.Т. Сарайрех // Вісник Національного
    університету „Львівська політехніка” «Комп’ютерні системи та мережі». –
    №688, Львів, 2010, – С.163 – 171.
    73. Scott Hauck, André DeHon. Reconfigurable Computing: The Theory and Practice of
    FPGA-Based Computation / Morgan Kaufmann, 2008. – 944 p.
    74. Палагин А.В. Реконфигурируемые вычислительные системы / А.В. Палагин,
    В.Н. Опанасенко // – Киев: Просвіта, 2006. – 295 с.
    75. Палагин А.В. Реконфигурируемые структуры на ПЛИС / А.В. Палагин, В.Н.
    Опанасенко, В.Г. Сахарин // УСиМ. – 2000. – № 3. – С. 32–39.
    76. Опанасенко В.М. Архітектурна організація реконфігуровних комп’ютерів на
    базі ПЛІС / В.М. Опанасенко, І.Г. Тимошенко // Радіоелектроніка. Інформатика.
    Управління. – Запоріжжя: ЗНТУ, 2004. – № 2(12). – С. 139–144.
    77. Палагин А.В. Проектирование реконфигурируемых систем на ПЛИС /
    А.В. Палагин, В.Н. Опанасенко, А.Н. Лисовый // Тр. 7-й междунар. науч.-практ.
    конф. «Современные информационные и электронные технологии», 22–26 мая
    2006, Одесса. – 1.– С. 164.
    305
    78. Мельник В.А. Використання реконфігуровних прискорювачів для підвищення
    продуктивності персональних комп’ютерів / В.А. Мельник, А.О. Мельник, З.Т.
    Сарайрех // Науковий вісник Чернівецького ун-ту. Комп’ютерні системи та
    компоненти. – Чернівці: Чернівецький національний університет імені Юрія
    Федьковича, 2010. – Т.1 Вип.1. – С. 20-25.
    79. T. Todman, G. Constantinides, S. Wilton, O. Mencer, W. Luk and P. Cheung.
    Reconfigurable Computing: Architectures, Design Methods, and Applications // IEE
    Proceedings on Computers and Digital Techniques, 2005. 152 (2). –P.193-207.
    80. Cadence Design Systems Inc, Palladium Datasheet, 2004.
    81. Mentor Graphics, Vstation Pro: High Performance System Verification, 2003.
    82. Annapolis Microsystems, Inc., Wildfire Reference Manual, 1998.
    83. S.C. Goldstein, H. Schmit, M. Budiu, S. Cadambi, M. Moe, R. Taylor. PipeRench: a
    reconfigurable architecture and compiler. IEEE Computer, Vol. 33, No.4, 2000, -P.70-77.
    84. J.R. Hauser, J. Wawrzynek. Garp: a MIPS processor with a reconfigurable processor
    // IEEE Symposium on Field-Programmable Custom Computing Machines, IEEE
    Computer Society Press, 1997.
    85. R. Laufer, R. Taylor, H. Schmit. PCI-PipeRench and the SwordAPI: a system for
    stream-based reconfigurable computing // Proc. Symp. On Field-Programmable
    Custom Computing Machines, IEEE Computer Society Press, 1999.
    86. C.R. Rupp, M. Landguth, T. Garverick, E. Gomersall, H. Holt, J. Arnold, M.
    Gokhale. The NAPA adaptive processing architecture // IEEE Symposium on Field-Programmable Custom Computing Machines, May 1998. –P. 28-37.
    87. H. Singh, M-H Lee, G. Lu, F. Kurdahi, N. Bagherzadeh, E. Chaves. MorphoSys: An
    integrated reconfigurable system for data-parallel and compute intensive applications
    // IEEE Trans. on Computers, Vol. 49, No. 5, May 2000. –P. 465-481.
    88. J. Vuillemin, P. Bertin, D. Roncin, M. Shand, H. Touati, P. Boucard. Programmable
    Active Memories: Reconfigurable Systems come of age // IEEE Transactions on
    VLSI Systems, Vol. 4, No. 1, March 1996. –P. 56-69.
    306
    89. R.D. Wittig, P. Chow. OneChip: an FPGA processor with reconfigurable logic //
    IEEE Symposium on FPGAs for Custom Computing Machines, 1996.
    90. A. Marshall, T. Stansfield, I Kostarnov, J. Vuillemin, B. Hutchings. A reconfigurable
    arithmetic array for multimedia applications // ACM/SIGDA International
    Symposium on FPGAs, Feb 1999. –P. 135-143.
    91. E. Mirsky, A. DeHon. MATRIX: a reconfigurable computing architecture with
    configurable instruction distribution and deployable resources // Proc. Symp. on
    Field-Programmable Custom Computing Machines, IEEE Computer Society Press,
    1996.
    92. R. Razdan, M.D. Smith. A high performance microarchitecture with hardware
    programmable functional units // International Symposium on Microarchitecture,
    1994. –P.172-180.
    93. M. Taylor et al. The RAW microprocessor: a computational fabric for software
    circuits and general purpose programs // IEEE Micro, vol 22. No. 2, March/April
    2002. –P. 25-35.
    94. Altera Corp., Excalibur Device Overview, May 2002.
    95. Xilinx, Inc., PowerPC 405 Processor Block Reference Guide, October 2003.
    96. Altera Corp. Nios II Processor Reference Handbook, May 2004.
    97. A. Fidjeland, W. Luk, S. Muggleton. Scalable acceleration of inductive logic
    programs // Proc. Int. Conf. on Field-Programmable Technology, IEEE, 2002.
    98. P.H.W. Leong, K.H. Leung. A microcoded Elliptic Curve Processor using FPGA
    technology // IEEE Transactions on Very Large Scale Integration Systems, Vol. 10,
    No. 5, 2002. –P. 550-559.
    99. S.P. Seng, W. Luk, P.Y.K. Cheung. Flexible instruction processors // Proc. Int. Conf.
    on Compilers, Arch. and Syn. for Embedded Systens, ACM Press, 2000.
    100. S.P. Seng, W. Luk, P.Y.K. Cheung. Run-time adaptive flexible instruction processors
    // Field-Programmable Logic and Applications, LNCS 2438, Springer, 2002.
    101. Xilinx, Inc., Microblaze Processor Reference Guide, June 2004.
    102. Baxter Robert. Maxwell – a 64-FPGA Supercomputer / Robert Baxter, Stephen
    Booth, Mark Bull, Geoff Cawood, James Perry, Mark Parsons, Alan Simpson, Arthur
    307
    S. Trew, Andrew McCormick, Graham Smart, Ronnie Smart, Allan Cantle, Richard
    Chamberlain, Gildas Genest // Engineering Letters, - 2008. - Volume 16, Number 3,
    September – P. 426-433.
    103. Мельник В.А. Основи побудови та організації функціонування
    реконфігуровних комп’ютерних систем / В.А. Мельник // Науковий журнал
    «Вісник Хмельницького Національного Університету», Серія «Технічні науки».
    – №6. – 2012 р. – С. 212 – 217.
    104. Айвор Хортон. Microsoft Visual C++ 2005: базовый курс = Beginning Visual C++
    2005 // – М.: «Диалектика», 2007. – 1152 с.
    105. AMBA Specification (Rev 2.0). ARM Limited, 1999. – 230 p.
    106. Wade D. Peterson, Silicore Corporation. Specification for the WISHBONE System-on-Chip (SoC) Interconnection Architecture for Portable IP Cores. Revision: B.3,
    Released: September 7, 2002. – 140 p.
    107. DIME Module, Physical Level 0 Specification, Nallatech Ltd, NT301-0001, 2003.
    108. Devlin Malachy. DIME – The First Module Standard for FPGA Based High
    Performance Computing / Malachy Devlin, Allan J. Cantle // FPL '99 Proceedings of
    the 9th International Workshop on Field-Programmable Logic and Applications.
    Springer-Verlag, London, UK, 1999. – P. 425 – 430.
    109. ClearSpeed Technology. ClearSpeed Advance X620 Accelerator Card User Guide. //
    Document No. 60-UG-1302 Revision: 3.E September 2008. -16p. [Електронний
    ресурс] // – Режим доступу:
    [http://support.clearspeed.com/resources/documentation/X620_Advance_Card_User_
    Guide_Rev3.E.pdf]
    110. H100 Series FPGA Application Accelerators. Version 1.9. September 2008 //
    [Електронний ресурс] // – Режим доступу:
    http://www.skyblue.de/nallatech/5595.pdf
    111. Getting Started. COPACOBANA — Cost-optimized Parallel Code-Breaker
    (12.12.2006) [Електронний ресурс] // – Режим доступу:
    http://www.copacobana.org/paper/copacobana_gettingstarted.pdf
    308
    112. International Business Machines Corporation. BladeCenter HS21 Type 8853
    Installation and User’s Guide, Fourth Edition (August 2007) - 66p. // [Електронний
    ресурс] // – Режим доступу:
    http://publib.boulder.ibm.com/infocenter/bladectr/documentation/topic/com.ibm.blad
    ecenter.hs21.doc/hs21_install_ug.pdf
    113. [Електронний ресурс] // – Режим доступу:
    http://www.fhpca.org/download/maxwell.pdf
    114. DRC Computer Corporation. RPU100-L60 DRC Reconfigurable Processor Unit. A
    breakthrough in coprocessor technology [Електронний ресурс] // – Режим доступу:
    http://www.drccomputer.com/pdfs/DRC_RPU100_datasheet.pdf
    115. Celoxica Ltd. RCHTX-XV4 High Performance Computing (HPC) Application
    Acceleration Board Datasheet. Version 1.0. 2006 [Електронний ресурс] // – Режим
    доступу: http://www.hypertransport.org/docs/tech/rchtx_datasheet_screen.pdf
    116. Cray Inc. Cray XD1 DATASHEET. 2004 [Електронний ресурс] // – Режим
    доступу: http://www.hpc.unm.edu/~tlthomas/buildout/Cray_XD1_Datasheet.pdf
    117. Ulmer, Craig D., Hilles, Ryan, Thompson, David C. Reconfigurable computing
    aspects of the Cray XD1. Presentation at the Cray User Group (CUG 2005), May 16-19, 2005. Albuquerque, USA. -11p. [Електронний ресурс] // – Режим доступу:
    http://www.craigulmer.com/portfolio/unlocked/050516_cug_rc_aspects_of_xd1.pdf
    118. Silicon Graphics, Inc. Reconfigurable Application-Specific Computing User’s Guide.
    2004-2006, 196p. [Електронний ресурс] // – Режим доступу:
    http://techpubs.sgi.com/library/manuals/4000/007-4718-004/pdf/007-4718-004.pdf
    119. Silicon Graphics, Inc. SGI: экстраординарное ускорение рабочих потоков с
    помощью реконфигурируемых, специфических для приложений, вычислений.
    2004. [Електронний ресурс] // – Режим доступу:
    http://www.silicongraphics.ru/pdf/fpga.pdf
    120. SGI NUMAlink Interconnect Fabric [Електронний ресурс] // – Режим доступу:
    http://www.sgi.com/products/servers/numalink.html
    121. IMPLICIT+EXPLICIT ARCHITECTURE [Електронний ресурс] // – Режим
    доступу: http://www.srccomp.com/techpubs/implicitexplicit.asp
    309
    122. LVDS Application and Data Book, Texas Instruments, SLLD009, November 2002.
    123. Xilinx Product Specification. Virtex-4 Family Overview. DS112 (v3.1) August 30,
    2010 [Електронний ресурс] // – Режим доступу:
    http://www.xilinx.com/support/documentation/data_sheets/ds112.pdf
    124. FPGA Accelerated Computing Solutions. Intel Xeon Front Side Bus [Електронний
    ресурс] // – Режим доступу:
    http://www.nallatech.com/images/stories/product/facs/fsb-accelerator-module/FSB_Accelerators_v1-5.pdf
    125. TCP/IP offload Engine (TOE). 10 Gigabit Ethernet Alliance. April 2002
    [Електронний ресурс] // – Режим доступу: http://www.10gea.org/tcp-ip-offload-engine-toe/
    126. COPACOBANA – Special Purpose Hardware for Code Breaking [Електронний
    ресурс] // – Режим доступу: http://www.copacobana.org
    127. S. Kumar, Ch. Paar, J. Pelzl, G. Pfeiffer, A. Rupp, M. Schimmler. How to Break DES
    for Euro 8,980 [Електронний ресурс] // – Режим доступу:
    http://www.copacobana.org/paper/copacobana_SHARCS2006.pdf
    128. Xilinx, Inc. Spartan-3 FPGA Family Data Sheet. Product Specification. DS099
    December 4, 2009 [Електронний ресурс] // – Режим доступу:
    http://www.xilinx.com/support/documentation/data_sheets/ds099.pdf
    129. COPACOBANA S3-1000. The original 'massively parallel FPGA-computer' 120
    Spartan-3 1000 FPGAs for number-crunching tasks [Електронний ресурс] // –
    Режим доступу: http://www.sciengines.com/products/computers-and-clusters/copacobana-s3-1000.html
    130. Шматок А. Аппаратные ускорители приложений на базе ПЛИС / А. Шматок //
    Современная электроника, -№6, 2007. – C. 60-63.
    131. HyperTransport Consortium. “HyperTransport Link Specification”, 2005.
    132. Intel Xeon Processor E5 Family [Електронний ресурс] / – Режим доступу:
    http://www.intel.com/products/processor/xeon5000/index.htm?iid=servproc+body_x
    eon5000
    310
    133. J. Grecco. Developing Portable HPC Applications using Intel QuickAssist
    Technology Accelerator Abstraction Layer Software (AAL) - 7.01.2008
    [Електронний ресурс] // – Режим доступу:
    http://rssi.ncsa.illinois.edu/proceedings/industry/Intel.pdf
    134. DRC Reconfigurable Processor Units (RPU) [Електронний ресурс] // – Режим
    доступу: http://www.drccomputer.com/drc/modules.html
    135. HP ProLiant DL145 G2 server – overview [Електронний ресурс] // – Режим
    доступу: http://h18000.www1.hp.com/products/servers/proliantdl145/
    136. Mark Norris. Gigabit Ethernet technology and applications // Artech House, 2003 -
    270 p.
    137. Xilinx, Inc. RocketIO Transceiver User Guide. UG024 (v2.1) [Електронний ресурс]
    // – Режим доступу: http://www.ee.ucla.edu/~herwin/ocdma/afx-300/ug024.pdf -
    June 12, 2003.
    138. Silicon Graphics. SgiR rasct rc100 blade, dramatic application speed-up with next
    generation reconfigurable compute technology [Електронний ресурс] // – Режим
    доступу: http://www.sgi.com
    139. SGI NUMAlink White Paper, 3771, March 2005, Silicon Graphics [Електронний
    ресурс] // – Режим доступу: http://www.sgi.com/pdfs/3771.pdf
    140. SGI Altix [Електронний ресурс] // – Режим доступу:
    http://www.sgi.com/products/servers/altix/
    141. Silicon Graphics Prism Family of Visualization Systems. Silicon Graphics, Inc. –
    2005 [Електронний ресурс] // – Режим доступу: http://www.sgi.com/pdfs/3690.pdf
    142. MAP PROCESSOR [Електронний ресурс] // – Режим доступу:
    http://www.srccomp.com/techpubs/map.asp
    143. SRC MAPSTATION PRODUCTS. [Електронний ресурс] // – Режим доступу:
    http://www.srccomp.com/products/mapstation.asp
    144. Stratix II Device Handbook, Volume 1. Altera Corporation, 2011 [Електронний
    ресурс] // – Режим доступу:
    http://www.altera.com/literature/hb/stx2/stratix2_handbook.pdf
    311
    145. Звіт з держбюджетної науково-дослідної роботи: “Створення програмних
    засобів високопродуктивних комп’ютерних систем на основі універсальних
    комп’ютерів”. Державний реєстраційний номер 0109U007349, шифр роботи
    ДЗ/465, 2010, 106с.
    146. Мельник В.А. Метод самоконфігурування апаратного прискорювача /
    В.А. Мельник, З.Т. Сарайрех // Матеріали V Міжнародної конференції молодих
    вчених “Комп’ютерні науки та інженерія 2011” (CSE-2011), Львів. –С.126-127.
    147. Мельник В.А. Система розподілу обчислювального навантаження між хост-комп’ютером та самоконфігуровним прискорювачем / В.А. Мельник, В.А.
    Степанов, З.Т. Сарайрех // Науковий вісник Чернівецького університету.
    Комп’ютерні системи та компоненти. – Чернівці: Чернівецький національний
    університет імені Юрія Федьковича, 2012. – Т. 3. Вип. 1. – С.6-16.
    148. Relogix Assembler-to-C translator [Електронний ресурс] // – Режим доступу:
    http://www.microapl.co.uk/asm2c/
    149. Chameleon – the System-Level Design Solution [Електронний ресурс] // – Режим
    доступу: http://intron-innovations.com/?p=sld_chame
    150. Agility Compiler for SystemC. Electronic System Level Behavioral Design &
    Synthesis Datasheet. –2005 [Електронний ресурс] // – Режим доступу:
    http://www.europractice.rl.ac.uk/vendors/agility_compiler.pdf
    151. Handel-C Synthesis Methodology – Mentor Graphics [Електронний ресурс] // –
    Режим доступу: http://www.mentor.com/products/fpga/handel-c/
    152. C-to-FPGA Tools form Impulse Accelerated Technologies. Impulse CoDeveloper C-to-FPGA Tools [Електронний ресурс] // – Режим доступу:
    http://www.impulseaccelerated.com/products_universal.htm
    153. StorageReview.com – Storage Reviews [Електронний ресурс] // – Режим доступу:
    http://www.storagereview.com
    154. Read Throughput Maximum: h2benchw 3.16 [Електронний ресурс] // – Режим
    доступу: http://www.tomshardware.com/charts/hdd-charts-2012/-02-Read-Throughput-Maximum-h2benchw-3.16,2900.html
    312
    155. Whitepaper. New SATA Spec Will Double Data Transfer Rates to 6 Gbit/s. SATA-IO. May 27, 2009 [Електронний ресурс] // – Режим доступу: http://www.sata-io.org/documents/SATA-6Gbs-Fast-Just-Got-Faster.pdf
    156. DDR4 SDRAM – Micron Technology, Inc. DDR4—Packing Power and Performance
    into a New Generation [Електронний ресурс] // – Режим доступу:
    http://www.micron.com/products/dram/ddr4-sdram#fullPart&236=0
    157. Platform Flash XL High-Density Configuration and Storage Device. Product
    Specification. DS617 (v3.0.1) January 07, 2010, - 88р. [Електронний ресурс] // –
    Режим доступу:
    http://www.xilinx.com/support/documentation/data_sheets/ds617.pdf
    158. Platform Flash XL Configuration and Storage Device User Guide. UG438 (v2.0)
    December 14, 2009, - 74p. [Електронний ресурс] // – Режим доступу:
    http://www.xilinx.com/support/documentation/user_guides/ug438.pdf
    159. Eric Crabill. Powering and Configuring Spartan-3 Generation FPGAs in Compliant
    PCI Applications. Application Note: Spartan-3 Generation Family. XAPP457 (v1.0)
    June 8, 2007, Xilinx, Inc. – 9 р. [Електронний ресурс] // – Режим доступу:
    http://www.xilinx.com/support/documentation/application_notes/xapp457.pdf
    160. Spartan-3 Generation Configuration User Guide. Extended Spartan-3A, Spartan-3E,
    and Spartan-3 FPGA Families. UG332 (v1.6), October 26, 2009, Xilinx, Inc. – 352 р.
    [Електронний ресурс] // – Режим доступу:
    http://www.xilinx.com/support/documentation/user_guides/ug332.pdf
    161. Virtex-6 FPGA Configuration User Guide // UG360 (v3.5) September 11, 2012,
    Xilinx, Inc. – 182 р. [Електронний ресурс] // – Режим доступу:
    http://www.xilinx.com/support/documentation/user_guides/ug360.pdf
    162. Spartan-3E FPGA Family Data Sheet. Product Specification. DS312 October 29,
    2012, Xilinx, Inc. – 182 р. [Електронний ресурс] // – Режим доступу:
    http://www.xilinx.com/support/documentation/data_sheets/ds312.pdf
    163. Koch, D., Beckhoff, C., and Teich, J. Bitstream decompression for high speed FPGA
    configuration from slow memories // Proceedings of International Conference on
    Field-Programmable Technology (ICFPT’07). IEEE, 2007. –P. 161-168.
    313
    164. PassMark AppTimer – Measure application startup time [Електронний ресурс] // –
    Режим доступу: http://www.passmark.com/products/apptimer.htm
    165. Мельник В.А. Вимоги до системи генерування моделей процесорів
    cамоконфігуровного апаратного прискорювача / В.А. Мельник, З.Т. Сарайрех //
    Матеріали 5-ої Міжнародної науково-технічної конференції «Сучасні
    комп'ютерні системи та мережі: розробка та використання» (ASCN-2011). –
    Львів, 2011. – С.255 – 258.
    166. Jenq-Foung Yao, Yongqiao Xiao. Data structures for the most frequently used
    algorithms // Journal of Computing Sciences in Colleges, Volume 26 Issue 2,
    December 2010. –P. 223-230.
    167. Computer Programming Algorithms Directory [Електронний ресурс] // – Режим
    доступу: http://www.algosort.com/
    168. Dictionary of Algorithms and Data Structures [Електронний ресурс] // – Режим
    доступу: http://xlinux.nist.gov/dads/
    169. Мельник А.О. Архітектура комп’ютера: підручник / А.О. Мельник – Луцьк:
    Волинська обласна друкарня, 2008. – 470 с.
    170. Мельник А. О. Модель архітектури спеціалізованого процесора / А. О.
    Мельник, В. А. Мельник, Мохаммад Аль Хабабсах // Науковий вісник
    Чернівецького університету: Збірник наукових праць, вип. 479: Комп’ютерні
    системи та компоненти. Чернівці, 2009, C. 6-10.
    171. Altera Whitepaper. FPGA Architecture. WP-01003-01, July 2006, ver.1.0
    [Електронний ресурс] // – Режим доступу:
    http://www.altera.com/literature/wp/wp-01003.pdf
    172. Stephen Brown, Jonathan Rose. Architecture of FPGAs and CPLDs: A Tutorial. -41p. [Електронний ресурс] // – Режим доступу:
    http://www.eecg.toronto.edu/~jayar/pubs/brown/survey.pdf
    173. Introduction to Actel FPGA Architecture. Application Note AC165 [Електронний
    ресурс] // – Режим доступу:
    http://www.actel.com/documents/Actel_Architecture_AN.pdf
    314
    174. Optimized FPGA Architecture for Low Cost Applications [Електронний ресурс] // –
    Режим доступу:
    http://www.latticesemi.com/products/fpga/ecp2/optimizedfpgaarchitecture.cfm
    175. J. Jaja. An Introduction to Parallel Algorithms // Addison-Wesley, Reading MA,
    1992.
    176. S. Akl. The Design and Analysis of Parallel Algorithms // Prentice-Hall, International
    Editions, Englewood Cliffs NJ, 1989.
    177. A. Gibbons, W. Rytter. Efficient Parallel Algorithms // Cambridge University Press,
    Cambridge, England, 1988.
    178. Donald E. Knuth. The Art of Computer Programming. Volume 3: Sorting
    Algorithms. Third Edition // Addison-Wesley Longman, Inc., 1998.
    179. Рабинер Л. Теория и применение цифровой обработки сигналов / Л. Рабинер, Б.
    Гоулд // Пер. с англ. – М.: Мир, 1978. – 848 с.
    180. Кальман Э. История математики в древности / – М., 1961.
    181. Мухаммад ибн Муса аль-Хорезми. Математические трактаты / – Ташкент, 1983.
    182. Юшкевич А.П. История математики в средние века / – М., 1961.
    183. Марков А.А. Теория алгоритмов / –М. –Л.: Изд-во АН СССР, 1954 – 375с.
    184. Ахо А. Построение и анализ вычислительных алгоритмов / А. Ахо, Дж.
    Хопкрофт, Дж. Ульман // – М.: Мир, 1979.
    185. Коркішко Т.А. Алгоритми та процесори симетричного блокового шифрування:
    монографія / Т.А. Коркішко, А.О. Мельник, В.А. Мельник – Львів, БАК, 2003. –
    182 с.
    186. Успенский В.А. Теория алгоритмов: основные открытия и приложения / В.А.
    Успенский, А.Л. Семенов // –М.: Наука, 1987. – 288с.
    187. Черкаський М.В. Складність апаратно-програмних комп’ютерних засобів //
    Сучасні проблеми в комп’ютерних науках. Збірник наукових праць. Львів,
    2000, с 58-67.
    188. Sprawozdanie z wykonanej pracy własnej nr 3.41/8.66 «Rozwój teorii algorytmów».
    Politechnika Świętokrzyska, Wydział Elektrotechniki, Automatyki i Informatyki,
    Katedra Informatyki. Kielce, 2006. – 17 str.
    315
    189. Melnyk W. Parametry algorytmu / A. Melnyk, W. Melnyk // Zeszyty Naukowe
    Politechniki Świętokrzyskiej. Elektryka, №43. – Wydawnictwo Politechniki
    Świętokrzyskiej, Kielce, 2005 r. – Str. 115-121.
    190. Мельник А.О. Використання пам’яті з упорядкованим доступом у процесорах
    опрацювання зображень / А.О. Мельник, В.А. Мельник, І.В. Мороз, Я.С.
    Парамуд // Вісник Національного університету „Львівська політехніка”
    «Комп’ютерні системи та мережі». - № 745, Львів, 2012. – С. 118-123.
    191. А.с. 1529287 (СССР). Запоминающее устройство /А.А.Мельник. - Опубл. в Б.И.,
    1989, N 46.
    192. А.с. 1479954 (СССР). Буферное запоминающее устройство /А.А.Мельник. -
    Опубл. в Б.И., 1989, N 12.
    193. Мельник А.О. Запам’ятовуючі пристрої сортувального типу для систем
    цифрового опрацювання сигналів / А.О. Мельник // Матеріали Першої
    Всеукраїнської конференції „Опрацювання сигналів і зображень та
    розпізнавання образів”. –Київ, 1992 р. –С. 187-182.
    194. Мельник А.О. Принципи побудови буферної сортувальної пам`яті [Текст] /
    А.О. Мельник // Вісник Державного університету “Львівська політехніка”
    “Комп`ютерна інженерія та інформаційні технології”, -№ 307, 1996. –С.65-71.
    195. Мельник А.О. Структурна організація пам’яті з впорядкованим доступом на
    основі налаштовуваних сортувальних мереж / Мельник А.О. // Інформатика та
    обчислювальна техніка. ВМУРОЛ, 2011. –C.34-46.
    196. Мельник В.А. Реалізація в програмованих логічних інтегральних схемах
    паралельної пам’яті з фіксованим впорядкованим доступом / В.А. Мельник //
    Науковий вісник Чернівецького універси
  • Стоимость доставки:
  • 200.00 грн


ПОШУК ГОТОВОЇ ДИСЕРТАЦІЙНОЇ РОБОТИ АБО СТАТТІ


Доставка любой диссертации из России и Украины